掲載期間26/01/22~26/02/04 求人No.MYN-10466014

【東京/北海道/海外】Test Chip Design Engineer

サーバ・ネットワークエンジニア

年収400万円~1549万円
募集情報
どんな仕事か
Key Responsibilities

As a Test Chip Design Engineer, your responsibilities will include:

- Test Chip Physical Design and PPA Analysis:

- Backend (BE) Implementation and Related Flow Development: Drive the full backend implementation flow, from chip-level planning and PG (Power/Ground) network design to floorplan, place, CTS (Clock Tree Synthesis), route, power analysis (PDNA sign-off), and comprehensive physical verification.

- Design Methodology and EDA Tool Utility Development: Develop and enhance design methodologies and associated EDA tool utilities specifically for backend implementation. This includes creating solutions for challenges arising from new process technologies and developing utilities to support our customers effectively.

- Technology Benchmark: Conduct detailed technology benchmarking to thoroughly understand and evaluate the PPA characteristics of new process technologies.

- Collaborate closely with process development teams, circuit design teams, and ED
求められるスキルは
必須 【必須条件】

Required Skills & Experience :

- Bachelor’s degree or higher in Electrical Engineering, Electronics Engineering, or a related field.

- 5+ years of hands-on experience in digital backend IC design, with a strong focus on physical design and sign-off.

- Proven expertise in the full physical design flow: floorplanning, power grid design, placement, clock tree synthesis (CTS), routing, and physical verification (DRC/LVS/Antenna).

- Solid experience with power analysis (PDNA sign-off) and static timing analysis (STA).

- Proficiency with industry-standard EDA tools for physical design (e.g., Cadence Innovus, Synopsys Fusion Compiler, PrimeTime, RedHawk, Calibre).

- Experience in scripting languages (e.g., Tcl, Python, Perl) for design automation and flow development.

- Str
雇用形態は
正社員
どこで働くか
北海道
給与はどのくらい貰えるか
400~1500万円
会社概要
社名
Rapidus株式会社
事業内容・
会社の特長
■半導体素子、集積回路等の電子部品の研究、開発、設計、製造及び販売

■環境に配慮した省エネルギーの半導体及び半導体製造技術の研究、開発

■半導体産業を担う人材の育成・開発



【日本における次世代半導体プロジェクトの体制】

次世代半導体(Beyond 2nm)の短TAT量産基盤体制の構築実現に向け、先端設計、先端装置・素材の要素技術に係るオープンな研究開発拠点【[日本版NSTC(LSTC)※]※Leading-edge Semiconductor Technology Center】を設立。将来の量産体制の立上げを見据えた量産製造拠点として、Rapidus株式会社を立ち上げることになりました。



【出資企業】

キオクシア、ソニーグループ、ソフトバンク、デンソー、トヨタ自動車、NEC、NTT、三菱UFJ銀行
取扱い紹介会社
株式会社マイナビ
厚生労働大臣許可番号:13-ユ-080554
紹介事業許可年:2007年
登録場所
本社
〒1040061 東京都中央区銀座四丁目12番15号 歌舞伎座タワー 24F
掲載中の求人
現在29185件の求人を掲載しています。
最近ご覧になった求人に基づいたおすすめの求人
興味あり
興味ありしました
若手ハイキャリアのスカウト転職ならアンビ
アンビは若手ハイキャリアのためのスカウト転職サービス。年収500万円以上の案件が多数。応募前に合格可能性を判定できる機能や、職務適性がわかるツールなど独自機能が充実。大手からスタートアップ・行政など、ここにしかない募集も。
若手ハイキャリアのスカウト転職